国内外主流EDA软件全景透视

EDA软件作为集成电路领域的基础工具,全面贯穿集成电路设计、制造、封测等环节。芯片作为集成电路的载体,其设计与制造是细微而宏大的工程。对于芯片的设计与制造,EDA软件可谓举足轻重。本文全面系统地盘点了各类支撑芯片设计及制造各个环节的EDA软件和国内外主流厂商,敬请批评指正!
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                              
 - 文章信息 - 
本文由e-works编辑部魏蝶原创发布。

01
EDA技术简述

 

EDA(Electronic Design Automation,电子设计自动化)是指用于辅助完成大规模集成电路芯片的功能设计、综合、验证、制造、封装、测试整个流程的计算机软件工具集群。
EDA是工业软件行业中的一个细分行业。工业软件是用于支撑工业企业产品研发、工艺规划、制造、营销、采购、运营和服务等核心业务的一系列工具类和管理类软件的统称,可分为研发数字化软件、管理数字化软件、工控软件和工业基础软件四大类。其中EDA与CAD、CAE、CAM、PLM等软件都归属于工业软件的研发数字化软件类别。
狭义的EDA一般指芯片设计环节所需的软件工具;广义的EDA则包括从芯片设计、制造到封装测试各环节所需的软件工具。其涵盖了电子设计、仿真、验证、制造全过程的所有技术,例如:系统设计与仿真,电路设计与仿真,PCB(印制电路板)设计与校验,IC版图设计、验证和测试,数字逻辑电路设计,模拟电路设计,数模混合设计,SoC(芯片上系统)设计,PLD(可编程逻辑器件)设计,ASIC(专用集成电路)设计技术等。
一般意义上来说,从纳米级的器件晶体管,到集成电路、显卡、收音机、家用电器、手机电脑、车载电子系统,与电子设备和装备相关的设计、仿真、验证等步骤,都和EDA息息相关。综上,本文将电路设计与仿真软件、芯片设计与制造软件、PCB设计软件都归为EDA软件的范畴。
随着大规模集成电路技术、计算机技术和电子系统设计技术的不断进步,EDA技术也获得了飞速发展,应用领域也变得越来越广泛。其发展过程是现代电子设计技术的重要历史进程,主要包括以下几个阶段:

图1 全球EDA行业发展历程

(资料来源:前瞻产业研究院)

 

02
主流EDA厂商巡礼

 

EDA软件行业流传着这么一句话:“谁掌握了EDA的话语权,谁就掌握了集成电路的命门,就可以对芯片行业的后来者降维打击。”

 

(一)国际主流EDA厂商

众所周知,全球EDA市场集中度较高。新思科技Synopsys、楷登电子Cadence、西门子EDA和ANSYS被称为EDA软件的“四大金刚”。公开资料显示,其在全球EDA市场的占比接近80%。“四大金刚”的垄断之势,或是源于其能提供完整的EDA工具,覆盖从前端设计、后端设计、仿真/验证直到流片的整套产品,形成设计的闭环;或是在擅长的领域苦心经营,在芯片设计与制造流程的不同环节形成独特优势,发挥着关键作用。此外,国际上还有Silvaco、Keysight、Altium、Zuken等EDA厂商,在各自擅长的领域不断深耕。
Synopsys:拥有最全面的产品线,为全球电子市场提供技术先进的芯片设计与验证平台。其优势产品在于数字芯片设计、逻辑、综合等相关工具,如公司的逻辑综合工具Design Compiler、静态时序分析工具Prime Time、物理验证工具IC Validator等。
关联视频↓

 

Cadence:由SDA Systems和ECAD两家公司合并而来,产品涵盖了电子设计的整个流程,包括系统级设计、功能验证、集成电路综合及布局布线、物理验证、模拟混合信号及射频集成电路设计、全定制集成电路设计、硬件仿真建模等。其优势产品在于模拟芯片设计相关工具。
西门子EDA:前身为Mentor Graphics,2016年被西门子收购后,2021年正式更名为西门子EDA。其能提供完整的软件和硬件设计解决方案,在后端布局布线领域较有优势。在PCB设计环节也有优势,如PowerPCB、Expedition PCB等产品在PCB设计领域都占有一席之地。
Ansys:2008年4月,已在机械制造仿真领域确立了王者地位的Ansys,以5.4亿美元的价格,收购了EDA厂商Ansoft(Ansoft在电路板的高频仿真领域,建立了自己独特的优势)。此次收购后,Ansys具备了系统级和封装级的仿真软件,主要专注在芯片的签核和仿真领域。其RedHawk软件在半导体的功耗设计优化及芯片供电领域具有举足轻重的位置。
Silvaco:其产品用于TCAD工艺和器件仿真、Spice参数提取、电路仿真、全定制IC设计/验证等,专注于模拟/混合信号芯片设计领域。Silvaco将最优产品与经验丰富的技术支持和工程服务结合在一起,提供一套完备的模拟半导体工艺、器件和自动化设计方案,用于CMOS、双极、SiGe和复合材料技术等。其研发的器件建模工具Victory TCAD处于业界领导地位。
Keysight:是德科技是全球电子测试测量行业龙头,专注于电子和光信号的测试测量,是通信产品设计领域领先的EDA厂商。其EDA工具套件可与器件建模、电路设计、电磁仿真、版图功能和系统级建模等工具无缝集成。
Altium:致力于开发基于PC的软件,为印刷电路板(PCB)提供辅助设计。公司所推出的第一套DOS版本PCB设计工具被澳大利亚电子行业广泛接受。随着PCB设计包的成功,Altium开始扩大产品范围,所生产的产品包括原理图输入、PCB自动布线以及自动PCB元件布局软件。其电路原理图设计工具Protel是目前EDA行业中操作快捷的辅助工具。
Zuken:是专门从事PCB/MCM/Hybrid和IC封装设计软件开发、销售和提供支持服务的EDA厂商,专注于PCB、线束和芯片封装等领域。

图2 全球EDA主流厂商的营业额

(来源:CIMdata)

 

(二)国内EDA厂商

随着5G、人工智能、自动驾驶等新兴技术的产业化加速,芯片需求量随之激增,在中国半导体市场蓬勃发展下,国内EDA的需求增长更加迅猛。在国外几乎垄断的大环境下,近年来国内诞生了一大批自主EDA厂商。与此同时,国产EDA也成为资本市场关注的焦点,以华大九天、概伦电子、广立微等为代表的国内EDA厂商们已成功上市。国家战略层面的重视,地方扶持政策的相继落地,资本市场的追捧,让大家深刻地认识到了国产EDA的价值。
华大九天:可提供模拟/数模混合芯片设计全流程解决方案、数字SoC芯片设计与优化解决方案、晶圆制造专用EDA工具和平板显示设计全流程解决方案。其产品具体包括原理图和版图编辑工具Aether、电路仿真工具ALPS、物理验证工具Argus、寄生参数提取工具RCExplore、单元库特征化提取工具Liberal等。华大九天已于2022年7月29日在深交所上市,登陆创业板。

图3 华大九天模拟电路设计EDA工具

(图源:华大九天官网)
概伦电子:能够提供高端半导体器件建模、大规模高精度集成电路仿真和优化、低频噪声测试和一体化半导体参数测试解决方案,致力打造存储器设计全流程EDA工具。其在集成电路制造和设计的器件建模和电路仿真两大关键环节打造了关键工具,能够支持7nm/5nm/3nm等先进工艺节点。概伦电子于2021年11月28日在上交所科创板上市。

图4 概伦电子电路设计平台

(图源:概伦电子官网)
广立微:广立微电子专注于芯片成品率提升和电性测试快速监控技术,提供EDA软件、电路IP、WAT电性测试设备以及与芯片成品率提升技术相结合的整套解决方案。其在集成电路设计到量产的整个产品周期内实现芯片性能、成品率、稳定性的提升。广立微于2022年8月5日深交所创业板上市。
九同方:围绕射频集成电路设计全流程的主要环节,规划了9 款EDA点工具,致力于研发完整的“射频 EDA 系列软件”。
芯和半导体:芯和半导体(前身为芯禾科技),专注EDA软件、集成无源器件IPD和系统级封装SiP微系统的研发。其EDA产品以仿真为主,集首创革命性的电磁场仿真器、人工智能与云计算等一系列前沿技术于一身,提供覆盖芯片、封装到系统的全产业链仿真EDA解决方案。
芯华章:芯华章提供全面覆盖数字芯片验证需求的五大产品线,包括:硬件仿真系统、FPGA原型验证系统、智能验证、形式验证以及逻辑仿真。其产品突破了当前国内EDA无法支持数字芯片验证全流程的现状,在实现多工具协同、降低EDA使用门槛的同时,提高芯片整体验证效率。
行芯科技:行芯科技致力于从传统工艺到先进工艺,为IC设计企业提供领先的Signoff工具链和解决方案。2021年,行芯科技基于FinFET先进工艺的全芯片参数提取解决方案GloryEX,成功通过三星先进工艺的高标准认证,是国内唯一通过认证的Signoff精度提取工具。

图5 行芯科技寄生参数提取工具GloryEX

(图源:行芯科技官网)
国微思尔芯:国微思尔芯是业内领先的快速原型验证及仿真系统的EDA工具研发、销售及设计服务提供商。其聚焦于EDA领域数字芯片的前端验证,为国内外客户提供原型验证系统和验证云服务等解决方案。
此外,国内还涌现出许多优秀的EDA厂商,例如嘉立创、宇微光学、望友科技、为昕科技、阿卡思微电子、若贝电子、超逸达科技、蓝海微科技、珂晶达、鸿芯微纳、英诺达、芯行纪、智芯仿真、合见工软、立芯软件、比昂芯、亚科鸿禹、法动科技、飞谱电子、全芯智造、芯愿景、图元软件、巨霖微电子、速石科技、锐成芯微、伴芯科技、凯鼎电子、深维科技、奇捷科技、创联智软、汤谷智能、复旦微电子、鸿之微、玖熠半导体、亚科鸿禹、睿晶聚源等,各厂商凭借着点工具的优势,正朝着局部解决方案、全流程解决方案方向发展。

 

03
主流EDA软件工具梳理

 

EDA软件技术的进步和应用一直以来是推动芯片设计成本保持在合理范围的重要方式。EDA是芯片设计和制造流程的支撑,是芯片设计方法学的载体,也是连接设计和制造两个环节的纽带和桥梁。一个完整的芯片设计和制造流程主要包括工艺平台开发、芯片设计和芯片制造三个阶段。工艺平台开发阶段主要由晶圆厂主导完成,在其完成半导体器件和制造工艺的设计后,建立半导体器件的模型并通过PDK(Process Design Kit,工艺设计套件)或建立IP和标准单元库等方式提供给芯片设计企业。芯片设计阶段主要由芯片设计企业主导完成,其基于晶圆厂提供的PDK或IP和标准单元库进行电路设计、仿真验证和物理实现。芯片制造阶段主要由晶圆厂根据物理实现后设计文件完成制造。
上述芯片设计与制造的主要阶段均需要对应的EDA软件作为支撑,包括用于制程工艺平台开发和芯片制造两个阶段的制造类EDA软件,以及支撑芯片设计阶段的设计类EDA软件。

图6 EDA软件对芯片设计和制造环节的支撑作用
根据使用阶段的不同,EDA软件可以分为芯片设计类EDA软件和芯片制造类EDA软件两个主要大类。
芯片设计类EDA软件:根据处理的信号不同,可分为数字芯片设计类EDA软件和模拟芯片设计类EDA软件。由于处理上述两类不同信号的集成电路在形态、功能、设计流程及设计方法学等方面上差异较大,因此可按照数字芯片和模拟芯片各自在设计时所使用的EDA软件产品进行分类。
芯片制造类EDA软件:主要指晶圆厂在工艺平台开发阶段和晶圆生产阶段使用的,用于支撑其完成半导体器件/制造工艺开发、器件建模和PDK等环节。该类工具能够帮助晶圆厂完成半导体器件和制造工艺的设计,建立半导体器件的模型并通过PDK或建立IP和标准单元库等方式提供给芯片设计企业,并在后续根据物理实现后的设计文件完成制造时,优化制造流程,提高量产良率。

图7 芯片制造各阶段所需的EDA软件类别

 

(一)芯片设计类EDA软件

1.数字芯片设计类EDA软件

数字芯片设计类EDA软件主要用于数字芯片设计环节,包括架构设计、RTL(Register Transfer Level,寄存器传输级)编码、仿真验证、逻辑综合、STA(Static Timing Analysis,静态时序分析)、物理验证等工具。
架构设计即按照要求,对整体的设计划分模块,确定芯片规格并做好总体设计方案,是最高层次的抽象描述,通常是给出系统的时序图及各子模块之间的数据流图。此步骤主流的EDA软件有Cadence的Virtuso,西门子EDA的Tanner S-Edit,Synopsys的Custom Compiler,华大九天的Aether SE、概伦电子的NavisPro等。
RTL编码是将系统功能结构化。通常以RTL代码(VHDL、Verilog、System Verilog等硬件描述语句)、原理图、逻辑图等表示设计结果,完成相关设计规范的代码编写,并保证代码的可综合、可读性,同时还需要考虑相关模块的复用性。此步骤主流的EDA软件有Cadence的Xcelium,西门子EDA的Modelsim,Synopsys的VCS等。
仿真验证是对RTL级的代码进行设计验证,检验设计功能的正确性,是否满足规格中的所有要求。此步骤可以用到的EDA软件主要有Cadence的Spectre、APS、XPS,西门子EDA的AFS、ELDO,Synopsys的HSPICE、XA、Finesim,华大九天的ALPS、ALPS-GT,芯华章的GalaxSim等。
逻辑综合步骤是芯片前端设计中的核心环节,指将数字电路RTL的Verilog HDL/VHDL文件“综合”为描述设计结构的门级(Gate-Level Netlist)Verilog HDL/VHDL文件,将RTL和根据设计需求编写的约束文件以及库文件作为输入综合出门级网表。简单说,逻辑综合=翻译+映射+优化。此步骤可以用的EDA软件主要有Cadence的Genus,西门子EDA的Precision RTL,Synopsys的Design Compiler、Fusion Compiler、Synplify等。
STA是对电路的检查验证,检查所有时序路径是否满足,检查所有触发器是否满足建立时间和保持时间。在数字芯片设计中,每对实际的电路和期间进行修改,都需要重新跑一次STA,确保电路在时序上是正确的。此步骤主流的EDA软件有Cadence的Tempus,西门子EDA的Velocity,Synopsys的Prime Time,华大九天的ICExplorer-XTime、概伦电子的TRASTA等。
物理验证。验证工作贯穿整个设计过程。芯片设计可以划分为前端(逻辑设计)与后端(物理设计),实现过程中将不断对设计进行优化,优化可能改变逻辑描述方式和结构,存在引入错误的风险,所以验证贯穿整个设计过程。此步骤可以用到的EDA软件主要有Cadence的Pegasus,西门子EDA的Calibre,Synopsys的IC Validator,Ansys的Redhawk,Altium的Protel,华大九天的Empyrean Skipper,概伦电子的NanoDesigner iV,芯华章的FusionVerify Platform、GalaxFV等。

2.模拟芯片设计类EDA软件

相比较而言,模拟芯片设计的自动化程度低于数字芯片设计。模拟芯片设计类EDA软件主要包括用于电路设计、仿真验证、版图设计、寄生参数提取、物理验证等环节的工具。
电路设计。对于模拟芯片设计而言,设计时不能使用Verilog代码,只能用图形化的方法进行设计,这也是模拟芯片与数字芯片在设计中的最大区别。此步骤通常需要根据所需功能和性能要求来确定电路结构和参数,然后使用相应的工具进行模拟和验证,不断进行调整和优化,直到满足设计要求为止。此步骤可以用到的EDA软件主要有Cadence的Virtuso,西门子EDA的Tanner S-Edit,Synopsys的Custom Compiler,Zuken的CR-8000 Design Gateway,华大九天的Aether SE、概伦电子的NanoDesigner SE,广立微的SmtCell,九同方的eSchema等。
仿真验证。与数字芯片设计中的“仿真验证”类似,即进行设计验证,检验设计功能的正确性,是否满足规格中的所有要求。此步骤可以用到的EDA软件主要有Cadence的Spectre、APS、XPS,西门子EDA的AFS、ELDO,Synopsys的HSPICE、XA、Finesim,华大九天的ALPS、ALPS-GT,概伦电子的NanoSpice、NanoSpice Giga、NanoSpice Pro,九同方的eSpice等。
版图设计。这里的版图并不是电路图,而是交给芯片制造厂用于制作掩膜版的设计图纸。版图设计的要点包括:根据设计要求选择合适的工艺库;了解器件库中每个元件的特性和参数;进行DRC(Design Rule Check,设计规则检查)、LVS(Layout Versus Schematic,电路图版图对照检查)等验证工作,确保设计符合工艺规范和原理图;合理布局;执行信号线编辑和优化,缩短信号传输路径和降低信号衰减;优化功耗、面积和性能等指标。版图设计可以用到的EDA软件主要有Cadence的Virtuoso,西门子EDA的Tanner L-Edit,Synopsys的Laker,华大九天的Aether LE,概伦电子的NanoDesigner LS等。
寄生参数提取是指经过版图设计之后,根据工艺特点与参数,提取出包含描述各种线上电阻、电容以及寄生电阻电容的网表文件。提取出的网表文件既可以作为LVS检查中的版图信息文件,也可以用来进行后仿真。此步骤可以用到的EDA软件主要有Cadence的QRC,西门子EDA的Calibre XRC,Synopsys的Star-RC,Silvaco的Clever、EXACT、QUEST、Hipex、ClarityRLC,华大九天的RCExplorer,行芯科技的GloryEX、GloryBolt等。

物理验证作用同上文。此步骤可以用到的EDA软件主要有Cadence的Dracula、PVS,西门子EDA的Calibre,Synopsys的Hercules,华大九天的Empyrean Argus、概伦电子的NanoDesigner iV,广立微的TCMagic,芯华章的FusionVerify Platform等。

 

(二)芯片制造类EDA软件

芯片制造类软件大致包括单元库软件、器件建模软件、工艺设计套件软件(PDK)、计算光刻软件、良率分析软件、封装建模软件等。
单元库软件。标准单元库常常被应用于数字信号处理、图形处理和网络处理等领域的芯片设计。在这些领域中,设计人员可以使用标准单元库来加速数字信号的数字化、处理和传输,提高设计效率、改善电路性能。单元库工具主要包括Cadence的Liberate,西门子EDA的Solido,Synopsys的SiliconSmart,华大九天的Liberal、Qualib,概伦电子的NanoCell、LibWiz等。
器件建模软件。晶圆厂进行工艺平台的开发时,不断对器件的设计和工艺的实现进行优化,确保各类半导体元器件能实现大规模制造。同时保证各类元器件的特性能够到达预定指标后,进行电学特性的测试,并利用器件建模EDA软件建立晶圆制造所需的各种器件的模型,这个过程就是器件建模。器件建模工具主要有Silvaco的Victory TCAD,Keysight的MBP、MQA、IC-CAP,华大九天的XModel,概伦电子的BSIMProPlus、SDEP、MeQLab、Me-Pro,九同方的ePCD等。
PDK软件。一套PDK包含同一工艺平台所有元件库、模型文件、设计规则等信息,用于支持设计流程中的电路原理图设计、版图设计和后端验证等各个环节。PDK工具主要有华大九天的PDK Build&QA,概伦电子的PCellLab、PQLab,芯和半导体的iModeler、iVerifier等。
计算光刻软件。荷兰光刻机巨头ASML对计算光刻的解释是,利用计算机建模、仿真和数据分析等手段,来预测、校正、优化和验证光刻工艺在一系列图案、工艺和系统条件下的成像性能。计算光刻通常包括OPC(光学邻近效应修正)、SMO(光源-掩膜协同优化技术)、MPT(多重图形技术)、ILT(反演光刻技术)四大技术。计算光刻工具主要有西门子EDA的Calibre,Synopsys的Proteus、Synthesis,宇微光学的OPC软件等。
良率分析软件。简单的说,芯片良率就是晶圆上合格芯片数量与芯片总数的比值,这个数值越大,说明有用芯片数量越多,浪费越少,成本也就越低。良率分析工具是芯片良率的重要保障。良率分析工具主要有Cadence的CMP Predictor、Integrated Virtual Metal Fill,西门子EDA的CMP ModeI Builder、CMP Analyzer、Yield Enhancer Smart Fill、Calibre LFD,Synopsys的Yield Explorer、Odyssey、Yield Manager、Avalon,广立微的ICSpider等。
封装建模软件。芯片封装的主要作用是给微小精密、易受损的芯片裸片提供一个坚固耐用的保护壳,同时把裸片上密集微小的电信号触点与封装外较大的电信号引脚或焊点相连,以便芯片可以方便地焊接在电子元件中。芯片封装建模工具主要包括西门子EDA的Xpedition Package Designer,Altium的Altium Designer,Zuken的CR8000,概伦电子的PadInspector,芯和半导体的Metis、Hermes等。
本文主要以支撑芯片设计及制造各环节的EDA软件盘点为主,但不可忽略的是,EDA除了在芯片设计中发挥巨大作用之外,在PCB板级设计中也有十分重要的应用。PCB类EDA软件种类很多,如Cadence的OrCAD、PSD、Allegro,西门子EDA的PowerPCB、Expedition PCB,Altium的Altium Designer、CircuitMaker,Zuken的CadStart、CR-8000 Design Force,以及国产PCB设计软件“嘉立创EDA”,望友科技的DFM Expert,芯易泽科技的EasyEDA,华秋电子的华秋DFM等。
各EDA厂商的软件工具对各个环节的覆盖程度详见下表1及表2。

表1 国际EDA厂商及其软件工具

表2 国内EDA厂商及其软件工具

 

 

结语

 

从表1、2中不难发现,在很多点工具上,我国已经实现了从0到1的突破,且各有所长。国内EDA厂商多点布局,正逐步形成产业生态。然而,与国际EDA软件巨头相比,国内EDA厂商在产品系统性、技术先进性等方面仍存在一定差距,且国产EDA虽然在器件建模、PDK等单点领域实现了突破,但并未能提供一套完整的全流程工具链。
在摩尔定律的驱动下,芯片更新换代速度很快,集成度越来越高,对功耗、响应速度等要求也越来越严苛。作为芯片上游设计软件的EDA软件,则扮演着越来越重要的角色。自主创新,才是我国电子信息产业的立身之本,我国EDA厂商需要在全行业、全流程、全工具等多方面持续改进,才能在产业蓬勃发展的大潮中奋勇争先。

参考文章

1.EDA行业深度报告:工业软件与半导体双轮驱动,筑造万亿产业根基

https://baijiahao.baidu.com/s?id=1711119778024926543&wfr=spider&for=pc

2.芯片科普 | IC设计全流程&要用到的EDA软件

https://www.nowcoder.com/discuss/486471337658122240?urlSource=home-api

3.浅谈EDA贯穿于芯片设计与制造

https://m.elecfans.com/article/2016555.html

4.重点聊聊EDA(一)定义及分类

https://zhuanlan.zhihu.com/p/563880905?utm_id=0

5.芯片产业链系列5-EDA软件全方位梳理

https://baijiahao.baidu.com/s?id=1762700300242889626&wfr=spider&for=pc

阅读剩余
THE END